Questa Sim 2020.01 最新版

  • 软件版本:2020.01 最新版
  • 软件授权:共享软件
  • 软件类型:国产软件
  • 软件语言:简体中文
  • 应用平台:win7及以上
  • 更新时间:2022-09-09
  • 软件大小:654MB
  • 软件评分:
立即下载 654MB
软件介绍
Questa Sim最新版是一款仿真模拟软件。Questa Sim官方版是业界最先进的HDL语言仿真器,是唯一的单内核支持VHDL和Verilog混合仿真的仿真器。Questa Sim持多种常规操作模式,包括GUI模式,命令行模式和批处理模式,方便您与Questa SIM交互。

Questa Sim软件介绍

该软件可是功能强大的仿真工具,支持System C,Verillog,SystemVerilog以及VHDL等硬件描述语言,并questasim2020是Modelsim的加强版,不仅适用于最复杂的回归套件的高性能多语言引擎,还能自由灵活的创建工作和资源库、编译设计、优化设计、加载设计以进行仿真、模拟设计以及进行调试设计等各种流行的操作,并支持多种常规操作模式,包括GUI模式,命令行模式和批处理模式,从而更加好的满足用户的使用需求。

Questa Sim软件特色

1、将高性能和容量仿真与高级调试和功能覆盖功能相结合,为Verilog,VHDL,SystemC和UPF等提供全面的本机支持。

2、通过非常积极的全球编译以及VHDL和SystemVerilog的仿真优化算法,实现了行业和容量的领先性能。

3、提供全面的,基于标准的ABV解决方案,从而提供SystemVerilog和属性规范语言的选择。

4、获得了Questa验证库(QVL),这是一个完整的SystemVerilog断言检查器和监视库,可以很容易地采用ABV。

5、配备高性能,多语言引擎,适用于大多数复杂的回归套件。

6、与Veloce平台进行高带宽事务级集成,以实现显着的模拟加速。

7、通过使用UPF获得Power Aware Simulation的原生支持。

Questa Sim软件功能

1、适用于最复杂的回归套件的高性能多语言引擎

2、高效的高级验证解决方案,具有验证管理功能,可覆盖大型复杂电子系统的覆盖范围

3、通过本机断言和完整的多抽象和多语言调试环境(包括事务级调试),易于使用,快速调试

4、约束随机刺激生成以自动化测试开发

5、具有OVM和UVM的本机高级SystemVerilog测试平台功能与独特的调试功能相结合,可简化高级测试平台的开发和调试

6、高带宽事务级(TBX)与Veloce平台的集成,可实现显着的仿真加速

7、使用UPF对Power Aware Simulation进行原生支持

8、多核仿真,支持所有设计语言和构造,并自动或手动分区设计以并行运行,同时维护单个数据库以进行调试和覆盖

Questa Sim更新日志

1.对部分功能进行了优化

2.解了好多不能忍的bug

百度网盘提取码:8p5b